我是一名FPGA初学者,正在尝试学习Verilog。在always块中,我如何“赋值”给一个reg,无论是作为初始值还是常量。我尝试在下面的代码中执行类似以下的操作。因为8位常量不被视为输入,所以出现了错误。我也不想通过时钟来触发always块。我只想将寄存器分配给特定的值。由于我想要它可综合,所以不能使用initial块。非常感谢。
module top
(
input wire clk,
output wire [7:0] led
);
reg [7:0] data_reg ;
always @*
begin
data_reg = 8'b10101011;
end
assign led = data_reg;
endmodule