如何在Verilog中同时使用inout和reg?

3
我有以下代码:

module s(clock, direction, readWrite, LA1, LA2, LA3, LA4, LD1, LD2, LD3, LD4, RA1, RA2, RA3, RA4, RD1, RD2, RD3, RD4);
// parameters
input clock, direction, readWrite;      
inout reg [7:0] LD1, LD2, LD3, LD4, RD1, RD2, RD3, RD4;
inout reg [11:0] LA1, LA2, LA3, LA4, RA1, RA2, RA3, RA4;

// code
always @(posedge clock) begin
    if(direction==1) begin          // left to right
        assign RA1 = LA1 | LA2 | LA3 | LA4;
        assign RD1 = LD1 | LD2 | LD3 | LD4;     
        assign { RA2, RA3, RA4 } = RA1;
        assign { RD2, RD3, RD4 } = RD1;     
    end else begin
        if(direction==1) begin      // right to left
            assign LA1 = RA1 | RA2 | RA3 | RA4;
            assign LD1 = RD1 | RD2 | RD3 | RD4;     
            assign { LA2, LA3, LA4 } = LA1;
            assign { LD2, LD3, LD4 } = LD1; 
        end
    end
end
endmodule

但是,在第二行中,“inout reg [7:0] LD1,…”声明在VeritakWin 3.84F中引发语法错误。(因为Veritak允许“output reg”,因此在给定代码之后,我的程序中有类似的代码)。如果我删除“reg”,我会在分配行中出现错误。如果我删除“inout”,显然会出现错误。我甚至尝试删除“assign”关键字,或者用“<=”替换“=”,但仍然存在错误。我做错了什么?(我是Verilog的新手)


1
Inout端口是双向端口,这意味着它是您设计的某些部分的输入端口,同时也是其他部分的输出端口,您不能为设计输出端口分配任何值,因为它们的值直接从其他输入端口的值计算而来。inout端口不能声明为reg,因为它们既可以用作输入端口(作为线),也可以用作输出端口(作为reg或线)。 - sharvil111
1个回答

5

inout端口不能是reg类型。您使用的assign类型是过程连续赋值,但这不适用于此类端口。您必须改用连续赋值。在您的代码中:

module s(clock, direction, readWrite, LA1, LA2, LA3, LA4, LD1, LD2, LD3, LD4, RA1, RA2, RA3, RA4, RD1, RD2, RD3, RD4);
// parameters input clock, direction, readWrite;      
inout [7:0] LD1, LD2, LD3, LD4, RD1, RD2, RD3, RD4;
inout [11:0] LA1, LA2, LA3, LA4, RA1, RA2, RA3, RA4;

// left to right
assign RA1 = (direction) ? (LA1 | LA2 | LA3 | LA4) : 'bz;
assign RD1 = (direction) ? (LD1 | LD2 | LD3 | LD4) : 'bz;     
assign { RA2, RA3, RA4 } = (direction) ? RA1 : 'bz;
assign { RD2, RD3, RD4 } = (direction) ? RD1 : 'bz;     

// right to left
assign LA1 = (!direction) ? (RA1 | RA2 | RA3 | RA4) : 'bz;
assign LD1 = (!direction) ? (RD1 | RD2 | RD3 | RD4) : 'bz;     
assign { LA2, LA3, LA4 } = (!direction) ? LA1 : 'bz;
assign { LD2, LD3, LD4 } = (!direction) ? LD1 : 'bz; 

endmodule

请注意,您不能同时读写inout端口,因此在读取时设置高阻值。

网页内容由stack overflow 提供, 点击上面的
可以查看英文原文,
原文链接