8得票1回答
在SystemVerilog中,是否允许从接口中读取参数?

我有些困惑,不知道从标准的角度来看,从接口中读取参数是否合法。 就像这样: interface foo_if #(parameter BAR=5)(); ... logic [BAR-1:0] data; modport slave(input data, ...); endinterfa...

8得票3回答
频率调制合成算法

根据我的阅读,我已经为FM音频合成编写了一个算法。我不确定是否做得正确。创建软件合成器乐器时,使用函数生成振荡器,并可以使用调制器来调制此振荡器的频率。我不知道FM合成是否只适用于调制正弦波? 该算法采用乐器波形函数和频率调制器的调制指数和比率。对于每个音符,它获取频率并存储载波和调制器振荡...

8得票1回答
Verilog中的$readmem可综合吗?

我正在尝试在FPGA上实现微控制器,并且我需要为其程序提供ROM。如果我使用$readmemb,它是否会被正确地合成为ROM?如果不是,那么标准的做法是什么?

7得票1回答
当一个整数在VHDL中超出范围时会发生什么?

假设您有一个被定义如下的信号: signal test_count : integer range 0 to 11; 现在,如果测试计数器在模拟过程中低于0或高于11,将立即导致模拟崩溃。我想知道的是,在综合时会发生什么?如果测试计数器增加到11以上或减少到0以下会发生什么?综合工具会强...

7得票2回答
SystemVerilog:将接口传递到函数/任务(用于综合!)

有没有可综合化的方法将接口传递给函数或任务?我的用例如下:我有一个包含几个函数的包(虽然如果有帮助,我可以将它们转换为任务 :)),所有这些函数都可能在模块中使用,并且可能需要访问模块的端口。通常,我会将所有端口分组到一个interface中,将其添加到模块中,并将其作为virtual传递给函...

7得票4回答
连续波形音频合成器

我正在编写一款软件合成器,具有独特的特点:振荡器将拥有一个“连续波形”旋钮,允许用户以连续的方式选择正弦、方波和锯齿波。也就是说,如果旋钮全部向左,输出将是正弦波;如果在中间,输出将是锯齿波;如果全部向右,输出将是方波,而中间位置将输出“插值”的经典波形版本。-- 旋钮位置和波形类型可以更改,...

7得票1回答
"门数"在综合结果中是什么,如何计算?

我正在使用Design Compiler综合我的设计,并与另一个设计进行比较(作为报告中的评估)。Synopsys的工具可以轻松地通过命令报告区域,但我所阅读的所有论文都关注门数。 我的问题是什么是门数,如何计算? 我谷歌搜索并听说门数是通过total_area/NAND2_area计算的...