13得票4回答
如何同时使用foreach和fork来并行执行某些操作?

这个问题并非特定于 UVM,但我正在处理的示例与 UVM 相关。 在我的 UVM 环境中,我有一个代理数组,我希望能够同时在它们所有代理上启动一条序列。 如果我执行以下操作:foreach (env.agt[i]) begin seq.start(env.agt[i].sqr);...

11得票2回答
从测试台访问uvm_config_db的最佳方法是什么?

我希望在我的顶层测试台中创建一个时钟,其周期可以从测试中进行控制。我所做的是将周期设置到uvm_config_db中,并在测试台中获取它。我不得不放入#1以确保构建阶段完成,否则get返回错误的值:module testbench_top; int clk_period; bit c...

7得票2回答
uvm_analysis端口的区别

请你帮忙理解以下功能之间的区别: uvm_analysis_export uvm_analysis_port uvm_analysis_imp 我在互联网上搜索了一些解释,例如: https://verificationacademy.com/forums/uvm/uvmanaly...

7得票2回答
在System Verilog中检测时间尺度

如何从源代码中检测模拟中使用的时间刻度精度? 假设我有一个名为cfg_delay_i的配置参数,其延迟值由用户以 fs 为单位给出。如果用户给出 1000 ,那么我的代码必须在继续执行之前等待 1000fs或1ps 。 #(cfg_delay_i * 1fs );//will wait on...