VHDL中的通用包

5

有没有可能创建一个通用的包?这意味着当我实例化实体时,我提供一些通用性,而该包将依赖于它。

我有一个块,希望可以多次使用,每次使用不同的包(即不同的参数)。

我必须使用包,因为我想使用数组的数组,这只能通过包实现。

3个回答

4

是的,但这是VHDL-2008的一个特性。因此,您能否使用它取决于您的工具。

这个特性真的很强大。

这里有一个例子


0

目前可以使用Xilinx的Vivado工具集来实现,该工具集支持许多VHDL-2008特性。请参考其他答案这里提供的信息。


-3

不,它不是,因为库子句在静态评估,你需要的是类似于动态绑定的东西,这在vhdl中是不可能的。

然而,看起来你想要一种方便的方式来提供不同的“集合”泛型值。在这种情况下,我建议你查看用户定义的记录或数组类型。你可以定义一个包含配置数据的记录数组,并为每个实例化提供其自己的数组集合。整洁干净,据我所知所有工具都支持。


哦,我明白了。我以为你会根据某些通用值包含不同的软件包。 - BennyBarns

网页内容由stack overflow 提供, 点击上面的
可以查看英文原文,
原文链接