如何在Verilog中逐行读取文本文件?

5

我有一个SREC文件,它是一个简单的文本文件,我想在Verilog中逐行读取它。我该怎么做?

2个回答

21
以下按每个时钟周期读取文件中的一行:预期数据格式是每行一个十进制数字。
integer               data_file    ; // file handler
integer               scan_file    ; // file handler
logic   signed [21:0] captured_data;
`define NULL 0    

initial begin
  data_file = $fopen("data_file.dat", "r");
  if (data_file == `NULL) begin
    $display("data_file handle was NULL");
    $finish;
  end
end

always @(posedge clk) begin
  scan_file = $fscanf(data_file, "%d\n", captured_data); 
  if (!$feof(data_file)) begin
    //use captured_data as you would any other wire or reg value;
  end
end

4

感谢您提供的解决方案。我稍作修改,使用了两个包含32个HEX数字的.txt文件,每行一个文件,并在过程中遇到了一些困难,因为我不理解代码的每一行所做的事情。我的发现如下。

仅仅是变量和寄存器的声明。

////////I'm using inputs.txt and outputs.txt to read both lines at the same time
module Decryption_Top_Testbench;
////////TEXT DOC variables

integer               file_outputs    ; // var to see if file exists 
integer               scan_outputs    ; // captured text handler
integer               file_inputs     ; // var to see if file exists
integer               scan_inputs     ; // captured text handler

//TXT
reg [127:0] captured_outputs; ///Actual text obtained from outputs.txt lines
reg [127:0] captured_inputs;  ///Actual text obtained from inputs.txt lines

打开两个文件

initial 
begin

 // TEXT FILE outputs///////////////////////

  file_outputs = $fopen("C:/outputs.txt", "r"); //Opening text file

//you should use the full path if you don't want to get in the trouble 
//of using environment vars 

    if (file_outputs == 0) begin               // If outputs file is not found
      $display("data_file handle was NULL"); //simulation monitor command
      $finish;
    end
  // TEXT FILE inputs///////////////////////
    file_inputs = $fopen("C:/inputs.txt", "r"); //Opening text file (inputs)
      if (file_inputs == 0) begin               //If inputs file is not found
        $display("data_file handle was NULL");
        $finish;
      end
end

在这部分中,我将以十六进制格式逐行阅读并将其存储在“captured_outputs”寄存器和“captured_inputs”寄存器中。
///Since I'm using it just to simulate I'm not interested on a clock pulse,
/// I want it to happen all at the same time with whatever comes first

always @(* )
begin

   if (!$feof(file_outputs)) 
   begin
   ///!$feof means if not reaching the end of file
   ///file_outputs is always returning a different number other than "0" if the doc 
   ///has not ended. When reaching "0" it means the doc is over.
   ///Since both of my docs are the same length I'm only validating one of them
   ///but if you have different lenghts you should verify each doc you're reading

   ///

   scan_inputs = $fscanf(file_inputs, "%h\n", captured_inputs);        //Inputs Line text
   scan_outputs = $fscanf(file_outputs, "%h\n", captured_outputs);     //Outputs line text

   $display ("Line :[inputs: %h _ outputs: %h ]" captured_inputs, captured_outputs);  
   // Displaying each line at the simulation monitor

   ///$fscanf means formatted text, $scanf would read text ignoring the format
   /// %h\n means it should expect HEX numbers and the end of line character, that means 
   /// the line is over, but if you want to use a diff criteria 
   /// you can replace \n to whatever you may need 



   end

   else
   begin

   $finish;
   $fclose(file_outputs); //Closing files just in case to prevent wasting memory
   $fclose(file_inputs);

   end

end

我希望能够为那些开始学习Verilog编码的人做出一些贡献,让他们能够理解并将这个很棒的功能应用到自己的项目中。
享受吧!

网页内容由stack overflow 提供, 点击上面的
可以查看英文原文,
原文链接