XSLT样式表处理时XML格式出现异常

3
我在寻找一些能够正确缩进我的xml文档的XSLT样式表,并在http://www.printk.net/~bds/indent.html上找到了一个非常好的样式表。希望作者不会责备我引用他的内容。
<xsl:stylesheet version="1.0" xmlns:xsl="http://www.w3.org/1999/XSL/Transform">
  <xsl:output method="xml" encoding="ISO-8859-1"/>
  <xsl:param name="indent-increment" select="'   '"/>

  <xsl:template name="newline">
    <xsl:text disable-output-escaping="yes">
</xsl:text>
  </xsl:template>

  <xsl:template match="comment() | processing-instruction()">
    <xsl:param name="indent" select="''"/>
    <xsl:call-template name="newline"/>    
    <xsl:value-of select="$indent"/>
    <xsl:copy />
  </xsl:template>

  <xsl:template match="text()">
    <xsl:param name="indent" select="''"/>
    <xsl:call-template name="newline"/>    
    <xsl:value-of select="$indent"/>
    <xsl:value-of select="normalize-space(.)"/>
  </xsl:template>

  <xsl:template match="text()[normalize-space(.)='']"/>

  <xsl:template match="*">
    <xsl:param name="indent" select="''"/>
    <xsl:call-template name="newline"/>    
    <xsl:value-of select="$indent"/>
      <xsl:choose>
       <xsl:when test="count(child::*) > 0">
        <xsl:copy>
         <xsl:copy-of select="@*"/>
         <xsl:apply-templates select="*|text()">
           <xsl:with-param name="indent" select="concat ($indent, $indent-increment)"/>
         </xsl:apply-templates>
         <xsl:call-template name="newline"/>
         <xsl:value-of select="$indent"/>
        </xsl:copy>
       </xsl:when>       
       <xsl:otherwise>
        <xsl:copy-of select="."/>
       </xsl:otherwise>
     </xsl:choose>
  </xsl:template>    
</xsl:stylesheet>

除了一个讨厌的问题,它几乎完成了我想要的所有功能:它在我的文档根元素(但不包括 XML 声明)上制作出奇怪的 8 个空格缩进。结果看起来像这样一段标记:
<?xml version="1.0" encoding="UTF-8"?>
        <database>
           <books>
              <book id="0">
                 <ISBN value="0123456789"/>
                 <title>Some book title Language</title>
                 <hardcover value="false"/>
                 <price value="40.46"/>
                 <in_stock value="100"/>
                 <annotation>Some annotation</annotation>
              </book>
           </books>
        </database>`

我对XSLT技术还比较陌生,花了很多时间尝试理解如何修复它,但目前为止还没有成功。我正在使用标准的javax.xml.transform.Transformer类进行XSLT转换。你有任何想法是为什么会发生这种情况吗?


我会仔细查看“newline”模板的内容 - 是否有任何杂散的空格?同时注意,“disable-output-escaping”属性是不必要的(空格永远不会被转义,因此禁用它没有影响),但属性的存在表明编写代码的人不是专家。 - Michael Kay
1个回答

6
您不需要任何特殊的XSLT代码来进行缩进。 只需使用标准的身份模板,同时添加 <xsl:output indent="yes"/> 即可:
<xsl:stylesheet version="1.0"
 xmlns:xsl="http://www.w3.org/1999/XSL/Transform">
 <xsl:output omit-xml-declaration="yes" indent="yes"/>
 <xsl:strip-space elements="*"/>

 <xsl:template match="node()|@*">
  <xsl:copy>
   <xsl:apply-templates select="node()|@*"/>
  </xsl:copy>
 </xsl:template>
</xsl:stylesheet>

当这个转换应用在任何XML文档上时(比如这个):
<database>            <books>
<book id="0">
<ISBN value="0123456789"/>
<title>Some book title Language</title>
              <hardcover value="false"/>
 <price value="40.46"/>
   <in_stock value="100"/>
    <annotation>Some annotation</annotation>
   </book></books> </database>

它输出相同的 XML 文档,但带有缩进:

<database>
   <books>
      <book id="0">
         <ISBN value="0123456789"/>
         <title>Some book title Language</title>
         <hardcover value="false"/>
         <price value="40.46"/>
         <in_stock value="100"/>
         <annotation>Some annotation</annotation>
      </book>
   </books>
</database>

我之前对这个输出选项有些疑惑,但即使我使用你的模板,它也无法正常工作。实际上,我的XML最初是由XMLStreamWriter生成的一行长代码。当我应用模板后,它现在被分成多行,但仍然没有缩进。你知道可能是什么原因吗? - east825
你可能正在使用Xalan,它以一种奇特的方式解释indent="yes"。切换到Saxon,或者在xsl:output上使用Xalan特定的参数来控制缩进的空格数。 - Michael Kay
@east825:尝试省略 <xsl:strip-space elements="*"/>,看看结果是否更好。 - Dimitre Novatchev
@Dimitre 我明白问题所在:你的xsl:output标签中缺少method="xml"xslt:indent-amount="4"属性。有了它们,一切都正常了。实际上,这样简单的转换根本不需要任何XSLT样式表 - 只需要使用Tranformer类的setOutputProperty(OutputKeys.INDENT, "yes")方法即可。 - east825
@east825:很高兴我的回答有用。xslt:indent-amount属性在XSLT中未定义 - 这必须是Michael Kay在他的评论中提到的扩展属性。 - Dimitre Novatchev

网页内容由stack overflow 提供, 点击上面的
可以查看英文原文,
原文链接